2009 Synopsys Users Group Conference (SNUG San Jose 2009)

Consistent Timing Constraints with PrimeTime by Steve Golson

Abstract: Physical implementation tools are usually timing-driven. They require timing constraints for reliable, repeatable, and successful operation. Generating and verifying these constraints is a familiar yet sometimes tedious task for the physical implementation engineer.

We introduce the idea of consistent timing constraints and show how PrimeTime can be used to create and manage timing constraint files needed for all other implementation tools, from synthesis to place-and-route to final chip finishing.

Here are the slides.